Examen VHDL - Cours de R. Grisel - Durée : 1 heure Documents autorisés : Cours, TD, TP exclusivement
Exercice 1 (VHDL) On donne en annexe 4 fichiers VHDL qui sont : COMP1.VHD, COMP2.VHD, COMP3.VHD, MASTER.VHD. A) Expliquer le fonctionnement et le type de description des modèles VHDL des fichiers : COMP1.VHD COMP2.VHD COMP3.VHD B) Expliquer le fonctionnement du circuit MASTER.VHD C) Existe t'il d'autres manières de faire cette description VHDL ?. D) Compléter le chronogramme donné en annexe en faisant attention aux valeurs numériques des signaux d’entrée. Note : Vous pouvez très bien dans un premier temps ne pas tenir compte des TPLH et TPHL, pour étudier uniquement la structure du circuit (dans ce cas vous ignorez les clause AFTER et GENERIC et GENERIC MAP) et si vous avez le temps revenir ensuite sur la structure avec ce que donnent les temps.
-- Fichier COMP1.VHD ----------------------library ieee; use ieee.std_logic_1164.all; entity COMP1 is generic (TPLH: time := 1 ns; TPHL: time := 1 ns); port (signal QN: out std_logic; signal A: in std_logic); end COMP1; architecture BEHAVIOR of COMP1 is begin P1: process(A) variable STATE: std_logic; begin STATE := not(A); if STATE = '1' then QN
every construct the following information are explained: â¢. Description ..... obtain a correct translation when an array of this type will be instanced. 15 ..... Example. VHDL for(x in 1 to 10) loop y. : = y¡ x; end loop;. SystemC for(int x. = 1;
Ecoute, empathie et résolution de problème. ➢ L'aider à résoudre ses problèmes. Une fois la souffrance exprimée, place à la résolution de problème. Je pose ...
9) I went shopping with Aunt Jane. 10) Mrs Hay is sitting over there with her son. 11) Emma likes going dancing with her friends. 12) Does Andrew often go out ...
diagonales », « oppos. Dans le a. [AB] e b. C et D c. [AD] e d. [AC] e. A et C f. [AB] e. D. B. A. C. 32 Les quadrilatères sont tracés à main levée. 37 a. T. Sur que b.
calculer le diamètre du cercle rouge et le rayon du cercle vert. b) Reproduire cette figure en vraie grandeur. 9 Observe la figure ci-dessous. a. Nomme un rayon ...
Ben: In the 17th century, some people from England suffered religious oppression ... They traveled and, finally, about 100 people arrived in America in autumn.
Apr 22, 2003 - The following SAS program is submitted using the raw data file above as input: .... valid SAS date format, and the DATEw. format cannot accept a length of 10. ..... A DO UNTIL loop always executes at least once because the ...
It's enough! your son has been playing drums for three hours! 4. ... They have not phoned their parents since Christmas. ... We (to live) in Paris for two months. 4. (you/to ... Last summer I (spend) a nice afternoon with a friend; we (go) to the cin
Exercice 1. 1. They listened to the radio on their way to school. 2. We liked it when we were there. 3. Why did she clean my shoes ? 4. Did the picture look good ?
Many excellent books on digital logic design have followed the traditional .... Being a computer science or electrical engineering student, you probably have assembled a PC before. ...... 65810 = 6Ã102 + 5Ã101 + 8Ã100 = 600 + 50 + 8 = 65810.